Architectures for Computer Vision: From Algorithm to Chip by Hong Jeong

Posted by

By Hong Jeong

Hong Jeong joined the dept of electric Engineering at POSTECH in January 1988, after graduating from the dept of EECS at MIT. He has labored at Bell Labs, Murray Hill, New Jersey and has visited the dept of electric Engineering at USC. He has taught built-in classes, equivalent to multimedia algorithms, Verilog HDL layout, and popularity engineering, within the division of electric Engineering at POSTECH. he's attracted to illing within the gaps among computing device imaginative and prescient algorithms and VLSI architectures, utilizing GPU and complicated HDL languages.

Show description

Read or Download Architectures for Computer Vision: From Algorithm to Chip with Verilog PDF

Similar computer science books

Computer Science Illuminated

Designed to give a breadth first insurance of the sphere of computing device technological know-how.

Introduction to Data Compression (4th Edition) (The Morgan Kaufmann Series in Multimedia Information and Systems)

Each one version of advent to info Compression has greatly been thought of the simplest advent and reference textual content at the artwork and technological know-how of information compression, and the fourth variation keeps during this culture. facts compression suggestions and know-how are ever-evolving with new functions in photograph, speech, textual content, audio, and video.

Computers as Components: Principles of Embedded Computing System Design (3rd Edition) (The Morgan Kaufmann Series in Computer Architecture and Design)

Pcs as parts: rules of Embedded Computing process layout, 3e, offers crucial wisdom on embedded platforms know-how and methods. up-to-date for today's embedded structures layout equipment, this version gains new examples together with electronic sign processing, multimedia, and cyber-physical structures.

Computation and Storage in the Cloud: Understanding the Trade-Offs

Computation and garage within the Cloud is the 1st complete and systematic paintings investigating the problem of computation and garage trade-off within the cloud with a view to decrease the final software expense. medical purposes are typically computation and knowledge extensive, the place advanced computation initiatives take decades for execution and the generated datasets are frequently terabytes or petabytes in measurement.

Extra resources for Architectures for Computer Vision: From Algorithm to Chip with Verilog

Sample text

6 The three-step design method for vision architecture Unlike the previous code segments, the if-statement in this code is placed before the statement block. Like the previous code segments, two types of counters can be implemented. Therefore, there are four ways to configure the loops, depending on the if-statement positions and the counter types. If the assignment types are considered as well, then there are eight types of loops. 13 Design Method for Vision Architecture Verilog HDL includes many design aids that transform the codes in high-level languages or diagrams to the codes in HDL.

5 The UUT and the TB one bit can have the values, 1’b0, 1’b1, 1’bx, and 1’bz, where the bases are ’b (binary),’o (octal), ’d (decimal), which is the default value, and ’h (hexadecimal). There are three groups of data types: net data type, variable data type, and parameter. The net data type represents physical connections and thus does not store a value (except trireg). Its value is determined by the values of its drivers and thus has high impedance if disconnected. The exception is trireg, which holds the previously driven value even when disconnected from the driver.

In addition, typical Verilog statements are listed below. ); disable TaskOrBlock; ->EventName; 29 //assignment statements //event control //event trigger The list contains continuous and procedural assignments, along with even triggers. 10 Simulation-Synthesis Once the vision algorithm is written in the Verilog HDL complying with the Verilog syntax and grammar, the file with extension v must be compiled for simulation and then synthesis. In the simulation stage, the Verilog syntax is analyzed in the compilation stage, some design constructs such as generate are interpreted in the elaboration stage, and the compiled code written in Verilog grammar is executed in the simulation stage.

Download PDF sample

Rated 4.77 of 5 – based on 27 votes